Pascal and Francis Bibliographic Databases

Help

Search results

Your search

ti.\*:("Metrology, inspection, and process control for microlithography XXV (28 February-3 March 2011, San Jose, \[California\], United States)")

Document Type [dt]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 85

  • Page / 4
Export

Selection :

  • and

Metrology, inspection, and process control for microlithography XXV (28 February-3 March 2011, San Jose, [California], United States)Raymond, Christopher J.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 2 vol, 2, isbn 978-0-8194-8530-4Conference Proceedings

TSOM Method for Semiconductor MetrologyATTOTA, Ravikiran; DIXSON, Ronald G; KRAMAR, John A et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79710T.1-79710T.15, 2Conference Paper

Methodology for Overlay Mark SelectionHUANG, Chin-Chou Kevin; HEALTHY HUANG, Chao-Tien; GOLOTSVAN, Anna et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79712B.1-79712B.8, 2Conference Paper

3D features measurement using YieldStar, an angle resolved polarized scatterometerCHARLEY, Anne-Laure; LERAY, Philippe; D'HAVE, Koen et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79715E.1-79715E.7, 2Conference Paper

Accuracy of Diffraction-Based and Image-Based OverlayKE, Chih-Ming; HUANG, Guo-Tsai; HUANG, Jacky et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79711E.1-79711E.5, 2Conference Paper

Calibration studies of pattern top resist loss detection by CD-SEM for advanced lithography processISHIMOTO, Toru; ISAWA, Miki; TANAKA, Maki et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79712H.1-79712H.12, 2Conference Paper

High sensitive and fast scanner focus monitoring method using forbidden pitch patternHEO, Jinseok; YEO, Jeong-Ho; KIM, Younghee et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79711C.1-79711C.6, 2Conference Paper

Hybrid CD Metrology Concept compatible with high volume manufacturingFOUCHER, J; FAURIE, P; DOURTHE, L et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79710S.1-79710S.10, 2Conference Paper

Influence of the charging effect on the precision of measuring EUV mask featuresNISHIYAMA, Yasushi; HAKII, Hidemitsu; YONEKURA, Isao et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79710C.1-79710C.14, 2Conference Paper

Multifeature focus exposure matrix for tool diagnosisZHIYONG YANG; BUROV, Anatoly Y; LIFENG DUAN et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79712N.1-79712N.9, 2Conference Paper

New 3-Dimensional AFM for CD Measurement and Sidewall CharacterizationYUEMING HUA; BUENVIAJE-COGGINS, Cynthia; LEE, Yong-Ha et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 797118.1-797118.6, 2Conference Paper

Optical illumination optimization for patterned defect inspectionBARNES, Bryan M; QUINTANILHA, Richard; SOHN, Yeung-Joon et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79710D.1-79710D.8, 2Conference Paper

Overlay and Focus stability Control for 28 nm node on Immersion ScannersHUANG, Guo-Tsai; CHEN, Kai-Hsiung; CHEN, Jim et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79711M.1-79711M.8, 2Conference Paper

Scatterometry for EUV lithography at the 22 nm nodeBUNDAY, Benjamin; VARTANIAN, Victor; LIPING REN et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 797120.1-797120.13, 2Conference Paper

Study of Scanner Stage Vibration by Using ScatterometryDABAI JIANG; WENZHAN ZHOU; HSIEH, Michael et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79711V.1-79711V.8, 2Conference Paper

Wafer Quality Analysis of Various Scribe Line Mark DesignJIANMING ZHOU; HICKMAN, Craig; YUAN HE et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79711H.1-79711H.9, 2Conference Paper

A Holistic Metrology Approach: Multi-Channel Scatterometry for Complex ApplicationsBOZDOG, Cornel; HYANG KYUN KIM; EMANS, Susan et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 797113.1-797113.8, 2Conference Paper

Application of Mask Process Correction (MPC) to monitor and correct mask process driftLIN, Timothy; DONNELLY, Tom; RUSSELL, Gordon et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 797107.1-797107.7, 2Conference Paper

Approaches to airborne molecular contamination assessmentVOGT, Sarah Riddle; LANDONI, Cristian.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79712I.1-79712I.7, 2Conference Paper

Artifacts of the AFM image due to the probe controlling parametersITOH, Hiroshi; WANGA, Chunmei; TAKAGIA, Hideki et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79711A.1-79711A.6, 2Conference Paper

CD-SEM image-distortion measured by View-Shift MethodINOUE, Osamu; KAWASAKI, Takahiro; MATSUI, Miyako et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79711Z.1-79711Z.10, 2Conference Paper

Characterization of EUV resists for defectivity at 32nmMONTAL, Ofir; DOLEV, Ido; JEHOUL, Christiane et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79710G.1-79710G.11, 2Conference Paper

Influence of BARC filtration and materials on the reduction of spire defectsSCHNEIDER, Jens; VOLKLAND, Susanne; FELDNER, Ulrike et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 797128.1-797128.9, 2Conference Paper

Investigation on Accuracy of Process Overlay MeasurementCHAN HWANG; LEE, Jeongjin; LEE, Seungyoon et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79711F.1-79711F.7, 2Conference Paper

OPC Verification and Hot Spot Management for Yield Enhancement through Layout AnalysisYOO, Gyun; KIM, Jungchan; PARK, Sangho et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79710H.1-79710H.11, 2Conference Paper

  • Page / 4